mirror_qemu/tools/virtiofsd
Stefan Hajnoczi b39bce121b virtiofsd: passthrough_ll: add dirp_map to hide lo_dirp pointers
Do not expose lo_dirp pointers to clients.

Signed-off-by: Stefan Hajnoczi <stefanha@redhat.com>
Reviewed-by: Philippe Mathieu-Daudé <philmd@redhat.com>
Signed-off-by: Dr. David Alan Gilbert <dgilbert@redhat.com>
2020-01-23 16:41:36 +00:00
..
50-qemu-virtiofsd.json.in virtiofsd: add vhost-user.json file 2020-01-23 16:41:36 +00:00
Makefile.objs virtiofsd: Add Makefile wiring for virtiofsd contrib 2020-01-23 16:41:36 +00:00
buffer.c virtiofsd: Fix common header and define for QEMU builds 2020-01-23 16:41:36 +00:00
fuse.h virtiofsd: Format imported files to qemu style 2020-01-23 16:41:36 +00:00
fuse_common.h virtiofsd: Remove unused enum fuse_buf_copy_flags 2020-01-23 16:41:36 +00:00
fuse_i.h virtiofsd: add --fd=FDNUM fd passing option 2020-01-23 16:41:36 +00:00
fuse_log.c virtiofsd: Fix common header and define for QEMU builds 2020-01-23 16:41:36 +00:00
fuse_log.h virtiofsd: Format imported files to qemu style 2020-01-23 16:41:36 +00:00
fuse_lowlevel.c virtiofsd: add --fd=FDNUM fd passing option 2020-01-23 16:41:36 +00:00
fuse_lowlevel.h virtiofsd: add --print-capabilities option 2020-01-23 16:41:36 +00:00
fuse_misc.h virtiofsd: Format imported files to qemu style 2020-01-23 16:41:36 +00:00
fuse_opt.c virtiofsd: Fix common header and define for QEMU builds 2020-01-23 16:41:36 +00:00
fuse_opt.h virtiofsd: Format imported files to qemu style 2020-01-23 16:41:36 +00:00
fuse_signals.c virtiofsd: Fix common header and define for QEMU builds 2020-01-23 16:41:36 +00:00
fuse_virtio.c virtiofsd: add --fd=FDNUM fd passing option 2020-01-23 16:41:36 +00:00
fuse_virtio.h virtiofsd: Fast path for virtio read 2020-01-23 16:41:36 +00:00
helper.c virtiofsd: add --print-capabilities option 2020-01-23 16:41:36 +00:00
passthrough_helpers.h virtiofsd: Format imported files to qemu style 2020-01-23 16:41:36 +00:00
passthrough_ll.c virtiofsd: passthrough_ll: add dirp_map to hide lo_dirp pointers 2020-01-23 16:41:36 +00:00